Pulsic Launches New Shape-based IC Router

Lyric Solves Signal Integrity & Timing Challenges for Complex Deep Sub-Micron Designs
24 customers, 40 licenses and over 100 tape-outs achieved to date

Bristol, UK – 10 June 2002 – Pulsic Limited, the British EDA company that brings breakthrough shape-based routing technology to the IC design market, today announced the launch of LyricT, a new flexible, high performance shape-based IC routing solution for complex analog, custom, mixed-signal and system-on-chip (SoC) design.

Pulsic’s debut product, Lyric, offers an advanced set of IC routing features, including extraction-based timing and signal integrity, together with an array of interactive editing capabilities, all with the flexibility associated with shape-based methodologies. These powerful features combine to accelerate the design process and remove the necessity to use other tools to resolve the issues associated with today’s deep sub-micron designs.

Mark Williams, chief executive officer at Pulsic said, “We believe that all areas of chip design, be it analog, custom or digital, will increasingly suffer from timing and signal integrity issues, and in particular crosstalk, as geometries continue to decrease and ever more fine wires are introduced. Gridded solutions are simply not flexible enough to resolve these issues, let alone achieve a high rate of routing completion. A high performance timing and crosstalk-driven routing solution will become a mandatory requirement in physical design,” he added.

Early-adopter versions of Lyric have been available since April 2001, when Pulsic signed an OEM agreement with Seiko Instruments Inc. (SII) – the largest supplier of IC EDA tools in Japan – to sell Lyric with its SX-9000 IC design product range. Mr. Takahiro Ozasa, Division Manager of EDA at SII said, “We have worked closely with Pulsic throughout Lyric’s early product development stages and have been extremely pleased and totally impressed with both the Pulsic team and its products. The official launch of Lyric sees the introduction of an exceptional, mature, high quality IC routing product, combined with a feature set which is just outstanding”, he concluded.

Pulsic has already sold in excess of 40 licenses to 24 customers, including Fujitsu, Mitsubishi, NEC, Panasonic and Sony. Customers have also achieved over 100 tape-outs by using the early-adopter versions of Lyric as an integral part of their IC design flow, and are already in the process of upgrading to the full commercial product release.

Lyric – for Supreme IC Routing Performance, Completion & Quality of Results Lyric is based on Pulsic’s new and ground-breaking routing technology, called T-route�”� (see below), which enables shape-based wiring methodology to be applied to large complex designs, providing excellent routing completion and performance. In recent benchmarks Lyric has delivered at least a five times performance gain over the fastest competitive solutions available today, and in some cases as much as fifteen times.

Key features of Lyric include:

  • Automatic/Interactive Gridless Routing: specifically designed for deep sub-micron design, Lyric reduces time to market by placing powerful intuitive tools into the hands of the engineer.
  • Extraction-driven Timing Closure: a tightly coupled, high speed RC extraction engine is used both during and post routing to reach timing closure in record time. No other tool demonstrates such close integration between routing and RC extraction.
  • Signal Integrity: Lyric allows the designer to both route the design and solve its signal integrity problems with just one tool, using the built-in RC extraction engine. Antenna damage, crosstalk and circuit stability are all considered in the process.
  • Bi-directional ECO Capabilities: Lyric has no limits on what it can accept or generate as an ECO. This makes Lyric an invaluable tool when used as part of a full design flow, dramatically reducing turnaround times for such changes.
  • Comprehensive Interactive and Semi-automatic Editing Capabilities: a fully featured interactive editing suite for all types of IC design is provided with Lyric. Through a single, highly intuitive environment engineers can adjust cell placement and edit/complete the circuit interconnect.
  • Hierarchical Rule and Constraint Sets: can be applied at any level in a design – even between classes of nets – delivering the only unified solution that can address the mixed-signal market, being able to route digital and analog circuits at the same time.

“Today Pulsic is targeting Lyric at the analog, custom and SoC markets, but our future company strategy will see it challenge the entire IC design spectrum with the very same shape-based technology,” said Williams. “Lyric already handles all design types, but cannot realistically be applied to very large digital blocks right now. But through our clear progressive product roadmap, users of Lyric today will be able to apply it to huge flat designs when this next generation technology becomes available later this year”, he concluded.

Pricing & Availability
Lyric is available now on Solaris 2.5.1-8.0, HPUX 11.0, NT4/2000/XP and Linux 2.2.X-2.4.X. All Pulsic’s products use industry-standard design formats enabling them to work with tools from all the leading EDA software vendors, as well as having its own proprietary ASCII database format. Pricing starts at approximately $100,000 (U.S.) for a basic auto/interactive single node license.